From a501a19b63bffbab84ff37588e03e42413efeee4 Mon Sep 17 00:00:00 2001 From: pjotrp Date: Sat, 24 Dec 2016 10:20:25 +0000 Subject: Fix ldc 0.17.2 --- gn/packages/ldc.scm | 1 + 1 file changed, 1 insertion(+) (limited to 'gn/packages/ldc.scm') diff --git a/gn/packages/ldc.scm b/gn/packages/ldc.scm index 04eca5b..470ea54 100644 --- a/gn/packages/ldc.scm +++ b/gn/packages/ldc.scm @@ -83,6 +83,7 @@ (native-inputs `(("llvm" ,llvm-3.7) ("clang" ,clang-3.7) + ("zlib" ,zlib) ("unzip" ,unzip) ("phobos-src" ,(origin -- cgit v1.2.3